Search

Chips and geopolitics part two: China's semiconductor resilience - Pearls and Irritations

kuaciasing.blogspot.com
Concept for supremacy in global microchip and semiconductor manufacturing.

In my previous article, I discussed how the AI chip sector has become a critical battleground in the ongoing rivalry between the United States and China.

What I should have elaborated on is just how China has managed to achieve these goals and the larger ramifications of that success.

I will try to address that, here.

Recent reports by Tom’s Hardware, as reported on Kevin Walmsley’s YouTube channel “Inside China Business” provide valuable insights into the pace of progress:

News reports this year.

SMIC and Huawei could use quadruple patterning for China-made 5nm chips: Report”

By Anton Shilov, published March 25, 2024

Huawei patent reveals 3nm-class process technology plans — China continues to move forward despite US sanctions.”

By Anton Shilov, published May 29, 2024

To understand the significance of China’s achievement, it is useful to grasp the fundamental physics of chip making, particularly a comparison between the capacity of Self-Aligned Quadruple Patterning (SAQP) versus Extreme Ultraviolet (EUV) lithography, in which silicon wafers are layered, etched then layered again, until transistors are built up and connected together up to comprise an integrated circuit such as the Soc in our best smartphones.

SAQP is a complex alternate lithography technique that can be used to create extremely fine features on semiconductor wafers. The reason it was largely discounted as a solution in the USA was because it involved additional steps, designated as the “spacer deposition” and “anisotropic etching” step.

In this alternative technique of multiple patterning, every step must be meticulously executed at least twice, in comparison to the EUV’s machine’s single direct write.

However, SAQP, when successful, allows for the doubling of the pattern density with each spacer formation step, allowing the engineers, by working around the limits of refraction, to match EUV by proxy.

To double the number of steps and end with a flawless and hence a working chip requires not only the flawless execution of production methods involving vacuum deposition and ion beam etching but also the complete absence of any trace gasses or dust particles whatsoever.

In contrast, EUV lithography simplifies the chip-making process by using a single exposure to create each layer of patterns, streamlining the manufacturing workflow. A wavelength of 13.5 nm allows for feature sizes ranging from 10 to 20 nm. The minimum width of the line achievable using EUV approximately equivalent to the wavelength of light employed.

The shorter wavelengths require much higher energies, which requires both the cooling and the unique high-precision UVB optics created by the Dutch giant ASML, the one technology out of reach of the Chinese due to Biden’s ban on EUV machine exports.

To review, while SAQP involves more steps and is more complex than EUV lithography, both techniques achieve high resolution. SAQP incurs higher costs due to multiple steps, while EUV requires substantial initial investment in tools but can be more cost-effective in the long run. SAQP reduces alignment errors but can introduce yield loss due to its complexity, whereas going any further with EUV faces challenges due to the physics of refraction; using even shorter wavelengths difficult for a host of reasons.

SAQP is adaptable for advanced nodes like 7 nm and 5 nm FinFETs, while EUV is usually crucial for nodes below 7 nm.

What is yet to be understood in the suburbs of Washington DC is the extent to which China’s rapid progress in semiconductor technology, particularly its leap from 7-nm to 3-nm chips, represents a significant technological breakthrough.

This advancement challenges the West, which has traditionally led in cutting-edge semiconductor technology. Furthermore, the use of SAQP for manufacturing advanced chips implies a continuing ability to innovate, even in the face of Western sanctions and increasingly restricted access to ASML’s EUV machines.

By drawing on the experience and skills of its PV panel makers and supported by a now unrivalled industrial and science base, China is evidently largely unimpeded technologically in the face of Western sanctions.

The whole suite of US sanctions that aimed to limit China’s access to advanced semiconductor technologies and equipment, particularly EUV lithography machines, in retrospect, appears to have been preemptively sidestepped by adroit policymaking on the Chinese side.

From a Chinese perspective, the country’s semiconductor policy had been outlined in the 14th Five-Year Plan (2021-2025), which explicitly set the goal of achieving technological self-sufficiency in “core technologies”.

The policy included substantial investment in R&D, focusing on core technologies like integrated circuits and advanced semiconductor materials, with a growth target of 7% per annum. The plan aimed to foster innovation in economic zones and industrial areas by working closely with industry, academia, and government.

Additionally, strategic initiatives such as Xi Jinping’s “Made in China 2025” sought to comprehensively upgrade the semiconductor industry and secure China’s position in global production chains with the stated goal of guaranteeing Chinese resilience and technological sovereignty in the face of geopolitical constraints.

It is perhaps unsurprising considering that Chinese companies like SMIC probably worked closely with domestic tool makers with silicon expertise in PV fabs to improve yields on these advanced node processes.

Ultimately, this collaboration has enabled China to produce more advanced chips using older equipment, despite US sanctions.

Moreover, China his now exploring several alternative technologies and processes to continue its advancements in semiconductor manufacturing. By investing heavily in domestic R&D, collaborating with local tool makers, and exploring alternative technologies like SAQP, China has managed to circumvent many of the challenges posed by US export controls.

The advancements in chip-making techniques and the strategic measures adopted by China highlight the dynamic and rapidly evolving nature of this industry, with significant implications for global technology governance and national security.

China’s achievements in this domain underscore a significant shift in the technological landscape. The resilience and innovation demonstrated in the face of US sanctions highlight again the country’s strategic policy focus and the strength of the commitment to achieve “technological sovereignty”, a central preoccupation of Chinese policymakers.

As China continues to advance in semiconductor manufacturing, the global balance of power in technology and national security will experience profound change.

This evolving dynamic warrants close observation as it unfolds in the coming years.

You may also wish to read part 1

Chips and geopolitics: the unexpected rise of Huawei in AI technology

Share and Enjoy !

Adblock test (Why?)



"chips" - Google News
June 10, 2024 at 01:57AM
https://ift.tt/H9zLtQu

Chips and geopolitics part two: China's semiconductor resilience - Pearls and Irritations
"chips" - Google News
https://ift.tt/BPc2KoO
https://ift.tt/q2srGJ1

Bagikan Berita Ini

0 Response to "Chips and geopolitics part two: China's semiconductor resilience - Pearls and Irritations"

Post a Comment

Powered by Blogger.